ordú_bg

táirgí

Stoc Spota Bunaidh Nua XC18V04VQG44C FPGA Réimse Eagar Geata In-ríomhchláraithe Ciorcaid Chomhtháite Sliseanna IC

cur síos gairid:


Sonraí Táirge

Clibeanna Táirge

Tréithe Táirge

CINEÁL CUR SÍOS
Catagóir Ciorcaid Chomhtháite (ICanna)

Cuimhne

Proms Cumraíochta le haghaidh FPGAanna

Mfr AMD Xilinx
Sraith -
Pacáiste Tráidire
Stádas an Táirge imithe i léig
Cineál Ríomhchláraithe I gCóras Ríomhchláraithe
Méid Cuimhne 4Mb
Voltas - Soláthar 3V ~ 3.6V
Teocht Oibriúcháin 0°C ~ 70°C
Cineál Gléasta Sliabh Dromchla
Pacáiste / Cás 44-TQFP
Pacáiste Gléas Soláthraí 44- VQFP (10×10)
Bunuimhir Táirge XC18V04

Doiciméid & Meáin

CINEÁL ACMHAINN NASC
Bileoga sonraí Sraith XC18V00
Eolas Comhshaoil Teastas Xiliinx RoHS

Xilinx REACH211 Teastas

PCN as feidhm/EOL Gléasanna Il 01/Meitheamh/2015

Gléas Il EOL Rev3 9/Bealtaine/2016

Deireadh Saoil 10/EAN/2022

Athrú Stádas Páirt PCN Páirteanna athghníomhachtaithe 25/Aib/2016
Bileog Sonraí HTML Sraith XC18V00

Aicmithe Comhshaoil ​​& Easpórtála

TREOIR CUR SÍOS
Stádas RoHS ROHS3 Comhlíontach
Leibhéal Íogaireachta Taise (MSL) 3 (168 Uair)
Stádas REACH REACH Gan tionchar
ECCN 3A991B1B1
HTSUS 8542. 32.0071

Acmhainní Breise

TREOIR CUR SÍOS
Pacáiste Caighdeánach 160

Cuimhne Xilinx - Proms Cumraíochta do FPGAanna

Tugann Xilinx isteach sraith XC18V00 de PROManna cumraíochta in-ríomhchláraithe in-chórais (Fíor 1).I measc na bhfeistí sa teaghlach 3.3V seo tá 4-megabit, 2-megabit, 1-megabit, agus PROM 512-kilobit a sholáthraíonn modh éasca le húsáid, éifeachtach ó thaobh costais chun sruthanna giotán cumraíochta Xilinx FPGA a athchlárú agus a stóráil.

Nuair a bhíonn an FPGA i mód Srathach Máistir, gineann sé clog cumraíochta a thiomáineann an PROM.Am rochtana gairid tar éis CE agus OE a chumasú, tá sonraí ar fáil ar an bioráin PROM DATA (D0) atá ceangailte le bioráin FPGA DIN.Tá sonraí nua ar fáil achar rochtana gairid tar éis gach imeall clog ag ardú.Gineann an FPGA an líon cuí bíoga clog chun an chumraíocht a chomhlánú.Nuair a bhíonn an FPGA i mód Sraitheach Sclábhaí, déantar an PROM agus an FPGA a chlog le clog seachtrach.

Nuair a bhíonn an FPGA i mód Máistir Roghnaigh MAP, gineann an FPGA clog cumraíochta a thiomáineann an PROM.Nuair a bhíonn an FPGA i mód Slave Parallel or Slave Select MAP, gineann oscillator seachtrach an clog cumraíochta a thiomáineann an PROM agus an FPGA.Tar éis CE agus OE a chumasú, tá sonraí ar fáil ar bhioráin PROM's DATA (D0-D7).Tá sonraí nua ar fáil achar rochtana gairid tar éis gach imeall clog ag ardú.Déantar na sonraí a chlogáil isteach sa FPGA ar an imeall ardú seo a leanas den CCLK.Is féidir oscillator saor-rith a úsáid sna modhanna MAP Comhthreomhar Sclábhaí nó Roghnaigh Sclábhaí.

Is féidir feistí iolracha a cascáidiú trí úsáid a bhaint as aschur an POF chun ionchur CE na feiste seo a leanas a thiomáint.Tá na hionchuir clog agus aschuir SONRAÍ na PROManna go léir sa slabhra seo idirnasctha.Tá na gléasanna go léir comhoiriúnach agus is féidir iad a easghluaiseachta le baill eile den teaghlach nó le teaghlach PROM sraitheach in-ríomhchláraithe aonuaire XC17V00.


  • Roimhe Seo:
  • Ar Aghaidh:

  • Scríobh do theachtaireacht anseo agus seol chugainn é