ordú_bg

táirgí

XC6SLX9 XC6SLX16-2FTG256I sliseanna ic ciorcad iomlánaithe bunaidh comhpháirteanna leictreonacha Seirbhís aon-stad XC6SL XC6SLX16-2FTG256I

cur síos gairid:


Sonraí Táirge

Clibeanna Táirge

Tréithe Táirge

 

CINEÁL CUR SÍOS

ROGHNACH

Catagóir Ciorcaid Chomhtháite (ICanna)

Leabaithe

FPGAanna (Eagar Geata In-ríomhchláraithe Réimse)

 

 

 

Mfr AMD Xilinx

 

Sraith Spartan®-6 LX

 

Pacáiste Tráidire

 

Stádas an Táirge Gníomhach

 

Líon na LAB/CLBanna 1139

 

Líon Eilimintí/Cealla Loighic 14579

 

Giotán RAM Iomlán 589824

 

Líon I/O 186

 

Voltas - Soláthar 1.14V ~ 1.26V

 

Cineál Gléasta Sliabh Dromchla

 

Teocht Oibriúcháin -40°C ~ 100°C (TJ)

 

Pacáiste / Cás 256-LBGA

 

Pacáiste Gléas Soláthraí 256- FTBGA (17×17)

 

Bunuimhir Táirge XC6SLX16

 

Tuairiscigh Earráid Faisnéise Táirge

Féach Cosúil

Doiciméid & Meáin

CINEÁL ACMHAINN NASC
Bileoga sonraí Bileog Sonraí Spartan-6 FPGA

Forbhreathnú Teaghlaigh Spartan-6

Pacáistiú FPGA Spartan-6, Sonraíocht Pinouts

Modúil Oiliúna Táirge S6 Forbhreathnú Teaghlaigh
Eolas Comhshaoil Xilinx REACH211 Teastas

Teastas Xiliinx RoHS

Aicmithe Comhshaoil ​​& Easpórtála

TREOIR CUR SÍOS
Stádas RoHS ROHS3 Comhlíontach
Leibhéal Íogaireachta Taise (MSL) 3 (168 Uair)
Stádas REACH REACH Gan tionchar
ECCN EAR99
HTSUS 8542. 39.0001

Eagar geata allamuigh-ríomhchláraithe

Aeagar geata allamuigh-ríomhchláraithe(FPGA) tá anciorcad iomlánaithedeartha le bheith cumraithe ag custaiméir nó ag dearthóir tar éis déantúsaíochta – is mar sin a bheidh an téarmaréimse-ríomhchláraithe.Sonraítear cumraíocht FPGA go ginearálta ag baint úsáide as ateanga cur síos crua-earraí(HDL), cosúil leis an gceann a úsáidtear le haghaidh anciorcad iomlánaithe a bhaineann go sonrach le feidhmchlár(ASIC).Léaráidí ciorcaidBaineadh úsáid as roimhe seo chun an chumraíocht a shonrú, ach tá sé seo ag éirí níos annamh mar gheall ar theacht nauathoibriú dearadh leictreonachuirlisí.

Tá raon deríomhchláraithe bloic loighic, agus ordlathas idirnaisc in-athchumraithe a ligeann do bhloic a shreangú le chéile.Is féidir bloic loighic a chumrú chun casta a dhéanamhfeidhmeanna comhcheangailte, nó gníomhú chomh simplígeataí loighicmhaithAGUSagusXOR.I bhformhór na FPGAanna, cuimsíonn bloic loighic freisineilimintí cuimhne, a d'fhéadfadh a bheith simplísmeach-flopsnó bloic chuimhne níos iomláine.[1]Is féidir go leor FPGAanna a athchlárú chun éagsúla a chur i bhfeidhmfeidhmeanna loighic, ag ligean solúbtharíomhaireacht in-athchumraithemar a dhéantar ibogearraí ríomhaire.

Tá ról suntasach ag FPGAanna icóras leabaitheforbairt mar gheall ar a gcumas forbairt bogearraí córais a thosú ag an am céanna le crua-earraí, ionsamhlúcháin feidhmíochta an chórais a chumasú ag céim an-luath den fhorbairt, agus trialacha córais éagsúla agus atriallta dearaidh a cheadú sula dtabharfar ailtireacht an chórais chun críche.[2]

Stair[eagar]

Tháinig tionscal FPGA ascuimhne inléite amháin in-ríomhchláraithe(PROM) agusgléasanna loighic ríomhchláraithe(PLDanna).Bhí an rogha ag PROManna agus PLDanna araon a bheith ríomhchláraithe i mbaisceanna i monarcha nó sa réimse (in-ríomhchláraithe).[3]

AlteraBunaíodh é i 1983 agus sholáthair sé an chéad fheiste loighce in-ath-ríomhchláraithe de chuid an tionscail i 1984 – an EP300 – ina raibh fuinneog Grianchloch sa phacáiste a thug deis d’úsáideoirí lampa ultraivialait a lasadh ar an dísle chun an dísle a scriosadh.EPROMcealla ina raibh cumraíocht an ghléis.[4]

Xilinxtháirgtear an chéad ríomhchláraithe allamuigh atá inmharthana ar bhonn tráchtálaeagar geatasa bhliain 1985[3]– an XC2064.[5]Bhí geataí in-ríomhchláraithe ag an XC2064 agus idirnaisc in-ríomhchláraithe idir geataí, tús le teicneolaíocht agus margadh nua.[6]Bhí 64 bloc loighic in-chumraithe (CLBanna) ag an XC2064, le dhá ionchur trí-ionchurtáblaí cuardaigh(LUTanna).[7]

I 1987, chuir anIonad Cogaíochta Dromchla Cabhlaighmhaoinigh sé turgnamh a mhol Steve Casselman chun ríomhaire a fhorbairt a chuirfeadh 600,000 geata in-ath-ríomhchláraithe i bhfeidhm.D’éirigh le Casselman agus eisíodh paitinn a bhain leis an gcóras i 1992.[3]

Lean Altera agus Xilinx gan dúshlán agus d’fhás siad go tapa ó 1985 go lár na 1990idí nuair a d’fhás iomaitheoirí aníos, rud a chreimeadh cuid shuntasach dá sciar den mhargadh.Faoi 1993, Actel (anoisMicreascóip) a bhí ag freastal ar thart ar 18 faoin gcéad den mhargadh.[6]

Ba thréimhse fáis thapa do FPGAanna iad na 1990idí, maidir le sofaisticiúlacht ciorcaid agus méid an táirgthe.Go luath sna 1990idí, baineadh úsáid as FPGAanna go príomha iteileachumarsáidaguslíonrú.Faoi dheireadh na ndeich mbliana, fuair FPGAanna a mbealach isteach i bhfeidhmchláir tomhaltóra, feithicleach agus tionsclaíocha.[8]

Faoi 2013, b'ionann Altera (31 faoin gcéad), Actel (10 faoin gcéad) agus Xilinx (36 faoin gcéad) le chéile agus thart ar 77 faoin gcéad de mhargadh FPGA.[9]

Tá cuideachtaí cosúil le Microsoft tosaithe ag baint úsáide as FPGAanna chun córais ardfheidhmíochta, dianríomhaireachta a luathú (cosúil leionaid sonraía oibríonn a gcuidBing inneall cuardaigh), mar gheall ar anfeidhmíocht in aghaidh an vatabuntáiste a sheachadann FPGAanna.[10]Thosaigh Microsoft ag baint úsáide as FPGAanna chundlús a churin 2014, agus in 2018 thosaigh sé ag imscaradh FPGAanna thar ualaí oibre ionaid sonraí eile le haghaidh a gcuidAzure néalríomhaireachtardán.[11]

Léiríonn na hamlínte seo a leanas dul chun cinn i ngnéithe éagsúla de dhearadh FPGA:

Geataí

  • 1987: 9,000 geata, Xilinx[6]
  • 1992: 600,000, Roinn Cogaíochta Dromchla Cabhlaigh[3]
  • 2000í luatha: na milliúin[8]
  • 2013: 50 milliún, Xilinx[12]

Méid an mhargaidh

  • 1985: An chéad FPGA tráchtála : Xilinx XC2064[5][6]
  • 1987: $14 milliún[6]
  • c.1993: >$385 milliún[6][fíorú theip]
  • 2005: $1.9 billiún[13]
  • Meastacháin 2010: $2.75 billiún[13]
  • 2013: $5.4 billiún[14]
  • Meastachán 2020: $9.8 billiún[14]

Tosaíonn dearadh

Atús dearadhis dearadh saincheaptha nua é le cur i bhfeidhm ar FPGA.

Dearadh[eagar]

Tá acmhainní móra ag FPGAanna comhaimsearthageataí loighicagus bloic RAM chun ríomhanna digiteacha casta a chur i bhfeidhm.De réir mar a úsáideann dearaí FPGA rátaí I/O an-ghasta agus sonraí déthreobusanna, bíonn sé ina dhúshlán uainiú ceart sonraí bailí a fhíorú laistigh den am socraithe agus an t-am a shealbhú.

Pleanáil urláircuireann sé ar chumas leithdháileadh acmhainní laistigh de FPGAanna chun na srianta ama seo a chomhlíonadh.Is féidir FPGAanna a úsáid chun aon fheidhm loighciúil a chuireann anASICis féidir a dhéanamh.An cumas an fheidhmiúlacht a nuashonrú tar éis loingseoireachta,athchumrú páirteachde chuid den dearadh[17]agus na costais innealtóireachta neamh-athfhillteacha íseal i gcoibhneas le dearadh ASIC (in ainneoin an chostais aonaid níos airde go ginearálta), buntáistí a thairiscint do go leor iarratas.[1]

Tá gnéithe analógacha ag roinnt FPGAanna chomh maith le feidhmeanna digiteacha.Is í an ghné analógach is coitianta ná ríomhchláraitheráta slewar gach bioráin aschuir, rud a ligeann don innealtóir rátaí ísle a shocrú ar bhioráin atá luchtaithe go héadrom a dhéanfadh murach sinfáinnelánúindo-ghlactha, agus rátaí níos airde a shocrú ar bhioráin atá luchtaithe go mór ar chainéil ardluais a rithfeadh ró-mhall murach sin.[18][19]Is coitianta freisin grianchloch-oscillators criostail, oscillators friotaíochta-toilleais ar-sliseanna, aguslúba céim-ghlasle leabaitheAscaltóirí voltas-rialaithea úsáidtear chun cloig a ghiniúint agus a bhainistiú agus chun cloig a tharchur agus chun cloig a ghnóthú chomh maith le haghaidh srathachtóir-srathaitheoir ardluais (SERDES).Tá go leor coitianta difreálachcomparadóiríar bhioráin ionchuir atá deartha le bheith nasctha leiscomharthaíocht difreálachcainéil.Cúpla "comhartha meascthaTá forimeallach comhtháite ag FPGAannationtairí analógach go digiteach(ADCanna) agustiontairí digiteacha go hanalógacha(DACanna) le bloic aeroiriúnaithe comhartha analógacha a ligeann dóibh oibriú mar acóras-ar-sliseanna(SoC).[20]Doiléirigh feistí den sórt sin an líne idir FPGA, a iompraíonn cinn dhigiteacha agus nialais ar a fabraic idirnasctha in-ríomhchláraithe inmheánach, aguseagar analógach in-ríomhchláraithe allamuigh(FPAA), a iompraíonn luachanna analógacha ar a chreatlach idirnasctha in-ríomhchláraithe inmheánach.


  • Roimhe Seo:
  • Ar Aghaidh:

  • Scríobh do theachtaireacht anseo agus seol chugainn é