ordú_bg

táirgí

XCVU9P-2FLGA2104I – Ciorcaid Chomhtháite, Leabaithe, FPGAanna (Eagar Geata In-ríomhchláraithe Réimse)

cur síos gairid:

Tá na FPGAanna Xilinx® Virtex® UltraScale+™ ar fáil i ngráid luais -3, -2, -1, agus tá an fheidhmíocht is airde ag feistí -3E.Is féidir leis na feistí -2LE oibriú ag voltas VCCINT ag 0.85V nó 0.72V agus soláthraíonn siad uaschumhacht statach níos ísle.Nuair a bhíonn sé á oibriú ag VCCINT = 0.85V, ag baint úsáide as feistí -2LE, tá an tsonraíocht luais do na feistí L mar an gcéanna leis an ngrád luas -2I.Nuair a oibrítear ag VCCINT = 0.72V, laghdaítear an fheidhmíocht -2LE agus cumhacht statach agus dinimiciúil.Sonraítear saintréithe DC agus AC i raonta teochta leathnaithe (E), tionsclaíoch (I), agus míleata (M).Ach amháin an raon teochta oibriúcháin nó mura dtugtar a mhalairt faoi deara, tá na paraiméadair leictreacha DC agus AC go léir mar an gcéanna le haghaidh grád luais ar leith (is é sin, tá tréithe uainiúcháin gléas leathnaithe -1 grád luas mar an gcéanna le -1 grád luas. gléas tionsclaíoch).Mar sin féin, níl ach gráid luais agus/nó feistí roghnaithe ar fáil i ngach raon teochta.


Sonraí Táirge

Clibeanna Táirge

Tréithe Táirge

CINEÁL CUR SÍOS
Catagóir Ciorcaid Chomhtháite (ICanna)

Leabaithe

FPGAanna (Eagar Geata In-ríomhchláraithe Réimse)

Mfr AMD
Sraith Virtex® UltraScale+™
Pacáiste Tráidire
Stádas an Táirge Gníomhach
DigiKey In-ríomhchláraithe Gan Fhíorú
Líon na LAB/CLBanna 147780
Líon Eilimintí/Cealla Loighic 2586 150
Giotán RAM Iomlán 391168000
Líon I/O 416
Voltas - Soláthar 0.825V ~ 0.876V
Cineál Gléasta Sliabh Dromchla
Teocht Oibriúcháin -40°C ~ 100°C (TJ)
Pacáiste / Cás 2104-BBGA, FCBGA
Pacáiste Gléas Soláthraí 2104-FCBGA (47.5×47.5)
Bunuimhir Táirge XCVU9

Doiciméid & Meáin

CINEÁL ACMHAINN NASC
Bileoga sonraí Bileog Sonraí Virtex UltraScale+ FPGA
Eolas Comhshaoil Teastas Xiliinx RoHS

Xilinx REACH211 Teastas

Múnlaí EDA XCVU9P-2FLGA2104I ag SnapEDA

XCVU9P-2FLGA2104I ag Leabharlannaí Ultra

Aicmithe Comhshaoil ​​& Easpórtála

TREOIR CUR SÍOS
Stádas RoHS ROHS3 Comhlíontach
Leibhéal Íogaireachta Taise (MSL) 4 (72 uair)
ECCN 3A001A7B
HTSUS 8542. 39.0001

 

FPGAanna

Prionsabal na hoibríochta:
Úsáideann FPGAanna coincheap ar nós an Logic Cell Eagar (LCA), atá comhdhéanta de thrí chuid go hinmheánach: an Bloc Loighic Inchumraithe (CLB), an Bloc Aschuir Ionchuir (IOB) agus an Idirnasc Inmheánach.Is gléasanna ríomhchláraithe iad Eagar Geata In-ríomhchláraithe Allamuigh (FPGAanna) a bhfuil ailtireacht éagsúil acu ná na ciorcaid loighce traidisiúnta agus eagair geata mar ghléasanna PAL, GAL agus CPLD.Cuirtear loighic an FPGA i bhfeidhm trí na cealla cuimhne statacha inmheánacha a luchtú le sonraí cláraithe, cinneann na luachanna a stóráiltear sna cealla cuimhne feidhm loighic na gcealla loighce agus an bealach ina bhfuil na modúil nasctha lena chéile nó leis an I/ O.Cinneann na luachanna atá stóráilte sna cealla cuimhne feidhm loighciúil na gcealla loighce agus an bealach a nasctar na modúil lena chéile nó leis na I/Os, agus sa deireadh na feidhmeanna is féidir a chur i bhfeidhm san FPGA, a cheadaíonn ríomhchlárú gan teorainn. .

Dearadh sliseanna:
I gcomparáid le cineálacha eile de dhearadh sliseanna, de ghnáth tá gá le tairseach níos airde agus sreabhadh dearadh bunúsach níos déine maidir le sliseanna FPGA.Go háirithe, ba cheart go mbeadh an dearadh nasctha go dlúth le scéimreach FPGA, rud a cheadaíonn dearadh sliseanna speisialta ar scála níos mó.Trí úsáid a bhaint as Matlab agus halgartaim dearaidh speisialta i C, ba cheart go bhféadfaí claochlú réidh a bhaint amach i ngach treo agus mar sin a chinntiú go bhfuil sé ag teacht le smaointeoireacht reatha dearadh sliseanna príomhshrutha.Más é seo an cás, ansin de ghnáth is gá díriú ar chomhtháthú ordúil na gcomhpháirteanna agus an teanga dearaidh chomhfhreagrach chun dearadh sliseanna inúsáidte agus inléite a chinntiú.Cuireann úsáid FPGAanna ar chumas dífhabhtaithe boird, insamhalta cód agus oibríochtaí dearadh gaolmhara eile a chinntiú go bhfuil an cód reatha scríofa ar bhealach agus go gcomhlíonann an réiteach dearaidh na ceanglais dearaidh ar leith.Ina theannta sin, ba cheart tosaíocht a thabhairt do na halgartaim dearaidh chun dearadh an tionscadail agus éifeachtacht na hoibríochta sliseanna a bharrfheabhsú.Mar dhearthóir, is é an chéad chéim ná modúl algartam sonrach a thógáil lena mbaineann an cód sliseanna.Tá sé seo toisc go gcabhraíonn cód réamhdheartha le hiontaofacht an algartam a chinntiú agus go n-uasmhéadaíonn sé go mór an dearadh sliseanna iomlán.Le dífhabhtú boird iomlán agus tástáil insamhalta, ba cheart go bhféadfaí an t-am timthriall a chaitear i ndearadh an tslis iomlán ag an bhfoinse a laghdú agus struchtúr iomlán na crua-earraí atá ann cheana féin a bharrfheabhsú.Is minic a úsáidtear an tsamhail dearadh táirgí nua seo, mar shampla, nuair a bhíonn comhéadain crua-earraí neamhchaighdeánacha á bhforbairt.

Is é an príomhdhúshlán i ndearadh FPGA ná dul i dtaithí ar an gcóras crua-earraí agus a chuid acmhainní inmheánacha, a chinntiú go gcumasaíonn an teanga dearaidh comhordú éifeachtach na gcomhpháirteanna agus feabhas a chur ar inléiteacht agus úsáid an chláir.Cuireann sé seo ard-éilimh ar an dearthóir freisin, a chaithfidh taithí a fháil ar thionscadail iolracha chun freastal ar na riachtanais.

 Ní mór don dearadh algartam díriú ar réasúntacht chun críochnú deiridh an tionscadail a chinntiú, réiteach a mholadh ar an bhfadhb bunaithe ar staid iarbhír an tionscadail, agus feabhas a chur ar éifeachtúlacht oibríocht FPGA.Tar éis a chinneadh ba chóir go mbeadh an algartam réasúnta chun an modúl a thógáil, chun an dearadh cód a éascú níos déanaí.Is féidir cód réamhdheartha a úsáid i ndearadh cód chun éifeachtúlacht agus iontaofacht a fheabhsú.Murab ionann agus ASICs, tá timthriall forbartha níos giorra ag FPGAanna agus is féidir iad a chomhcheangal le ceanglais dearaidh chun struchtúr na crua-earraí a athrú, rud a d'fhéadfadh cabhrú le cuideachtaí táirgí nua a sheoladh go tapa agus freastal ar riachtanais forbartha comhéadan neamhchaighdeánach nuair nach bhfuil prótacail cumarsáide aibí.


  • Roimhe Seo:
  • Ar Aghaidh:

  • Scríobh do theachtaireacht anseo agus seol chugainn é